ابدأ بالتواصل مع الأشخاص وتبادل معارفك المهنية

أنشئ حسابًا أو سجّل الدخول للانضمام إلى مجتمعك المهني.

متابعة

Comment fait un convertisseur?

user-image
تم إضافة السؤال من قبل bassim habibes
تاريخ النشر: 2015/09/12
Omar Faraji
من قبل Omar Faraji

il faut déclarer les entrées et les sorties de convertisseur, préciser le nombre de bits pour coder la valeur en binaire 

ramy hedhli
من قبل ramy hedhli , Auditeur Consultant , Proway consulting

faut préciser le type de convertisseur !!! 

BIJU K
من قبل BIJU K , System Architect , NXP SEMICONDUCTORS LTD INDIA

VERY HIGH SPEED HARDWARE DESCRIPTIONAL LANGUAGE

hamza dridi
من قبل hamza dridi , IT manager , ansaldo energia

library ieee ;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hex2bcd isport( hex_in :instd_logic_vector(7downto0); bcd_hun :outstd_logic_vector(3downto0); bcd_ten :outstd_logic_vector(3downto0); bcd_uni :outstd_logic_vector(3downto0));end hex2bcd ;architecture arc_hex2bcd of hex2bcd isbeginprocess( hex_in )variable hex_src :std_logic_vector(7downto0);variable bcd :std_logic_vector(11downto0);begin hex_src := hex_in ; bcd :=(others=>'0');for i in0to7loop bcd := bcd(11downto1)& hex_src(7);-- shift bcd + 1 new entry hex_src := hex_src(7downto1)&'0';-- shift src + pad with 0if bcd(3downto0)>"0100"then bcd(3downto0):= bcd(3downto0)+"0011";endif;if bcd(7downto4)>"0100"then bcd(7downto4):= bcd(7downto4)+"0011";endif;if bcd(11downto8)>"0100"then bcd(11downto8):= bcd(11downto8)+"0011";endif;endloop; bcd_hun <= bcd(11downto8); bcd_ten <= bcd(7downto4); bcd_uni <= bcd(3downto0);endprocess;end arc_hex2bcd ;

المزيد من الأسئلة المماثلة

هل تحتاج لمساعدة في كتابة سيرة ذاتية تحتوي على الكلمات الدلالية التي يبحث عنها أصحاب العمل؟